MAO Profile Banner
MAO Profile
MAO

@AdedoyinOyewo1

12,786
Followers
4,256
Following
596
Media
2,362
Statuses

Politics | IT | Solution Consultant | @theforensicnews #TheForensicPolitics #Theforensicgovernance

Joined April 2022
Don't wanna be here? Send us removal request.
Pinned Tweet
@AdedoyinOyewo1
MAO
4 months
Congratulations to President Bola Ahmed Tinubu @officialABAT on his one year anniversary in office!
581
209
515
Congratulations in advance @SharingWithYemi ☘️ Keep building your community🤗
Tweet media one
80
177
644
86
218
501
Moshood Kashimawo Olawale Abiola #theforensicpolitics
32
206
403
Inspired by insults from an obidient: All sales go to widows of fallen soldiers out in stores soon☕️
60
143
367
The Tinubu Art Exhibition @officialABAT #theforensicpolitics
34
156
313
@AdedoyinOyewo1
MAO
5 months
Reno’s $10,000 challenge settled as Labour Party's presidential hopeful, has provided confirmation with a surprising revelation, when confronted by Reno Omokri, a vocal media influencer and former presidential aide, about his failure to build new schools during his eight-year
Tweet media one
142
93
356
RT 🖤 President Bola Ahmed Tinubu @officialABAT GCFRN #theforensicpolitics
13
174
337
@AdedoyinOyewo1
MAO
3 months
The recent trend of #dapofixogunroads should be a welcome development as Increased attention naturally brings scrutiny and the demand for Ogun State to maximize its full potential should be seen as constructive, not negative. This once again highlights the importance for local
Tweet media one
44
261
347
Influencers with a “Mindset” of “Vawulence” are upset that despite every obstacle you made the list. Congratulations @officialABAT #theforensicpolitics
Tweet media one
25
119
326
@AdedoyinOyewo1
MAO
2 months
Warning ⛔️ Graphic content. Congratulations @YeleSowore Day 1 of your protest of rage went as you had planned. Hope you’re happy now? #theforensicpolitics
Tweet media one
153
194
336
@AdedoyinOyewo1
MAO
6 months
Dear @officialABAT On this special day, we extend our warmest wishes to you. May your birthday be filled with joy and good health. Your leadership inspires us all, and may the year ahead bring continued success and prosperity to our nation under your guidance.
Tweet media one
5
64
323
@AdedoyinOyewo1
MAO
5 months
📷📍
Tweet media one
69
52
321
#AllAcess Having a progressive mindset doesn’t mean everything is perfect, it is the strides and commitment to growth that is valued. @OfficialAPCNg as a political party and brand requires your support and participation. Get involved!!
68
133
295
@AdedoyinOyewo1
MAO
9 months
Considering January feels like 100days #theforensicpolitics and #theforensicgovernance with @DanielWhalee will be reaching out to SME’s and Individuals to support their business and families. Tag a SME📍Have a blissful 2024
346
156
249
@AdedoyinOyewo1
MAO
4 months
Yet to find a dream that hasn’t been achieved via focus and determination!
Tweet media one
43
45
239
There’s absolutely nothing new in the BBC report to gloat about, anyone with 0.01% comprehension capabilities, that has taken time to read the deposition would know this. The diagnosis of apophenia in the opposition camp only signifies that “dem go hold press conference tire” NO
@BBCAfrica
BBC News Africa
1 year
There is no evidence that the diploma Nigeria's President Bola Tinubu submitted to the country's electoral commission was forged, the BBC has found. Allegations that Bola Tinubu's diplomas were fake went viral after the release of his school documents.
2K
863
2K
21
82
228
19
96
187
@AdedoyinOyewo1
MAO
6 months
In a stark violation of human rights and the rule of law, the recent unlawful detention of Pastor Okezie @ONsogbu a prominent figure associated with @OfficialAPCNg must be condemned. Allegedly targeted for his political affiliations, Pastor Okezie's detention represents a grave
Tweet media one
36
94
193
Tweet media one
17
13
167
@AdedoyinOyewo1
MAO
9 months
Tweet media one
@AdedoyinOyewo1
MAO
9 months
Considering January feels like 100days #theforensicpolitics and #theforensicgovernance with @DanielWhalee will be reaching out to SME’s and Individuals to support their business and families. Tag a SME📍Have a blissful 2024
346
156
249
182
83
159
@fkeyamo @officialABAT It was a hit in his favor
20
41
163
@AdedoyinOyewo1
MAO
8 months
We are champions | We are One Nation | We are 9ja | We believe | 🏆🦅🇳🇬 #theforensicpolitics #AFCON #TeamNigeria
31
70
153
@AdedoyinOyewo1
MAO
2 months
Thanks @realMalikAdo Dear President @officialABAT Cc: Ministers whom it may concern!
21
74
157
Tweet media one
Tweet media two
Tweet media three
12
10
137
The OBJ reaction: Available in 3D #theforensicpolitics
9
91
117
Renewed Hope Concert - May 25th #RoadToVilla #theforensicpolitics
8
55
139
@AdedoyinOyewo1
MAO
5 months
PBAT AI: Mood for the week😎 #theforensicpolitics
15
43
142
🕊
9
52
109
The PO polygraph #theforensicpolitics
34
88
137
@AdedoyinOyewo1
MAO
3 months
The implosion of the Labour Party and the Obidient movement is escalating at an exponential rate. How could they have handled a country as diverse as Nigeria? Let’s hear from their spokesperson Kenneth Okonkwo
45
68
136
7
64
116
Legal pleadings on defamation! #theforensicpolitics
Tweet media one
15
35
136
17
44
102
Mr President Elect: The Tinubu Show SAT 8am #theforensicpolitics
4
45
116
@AdedoyinOyewo1
MAO
3 months
Despite the Efcc chairman ordering the arrest of the security personnel, maybe just maybe if a law suit is filed against @officialEFCC the excesses of assault will be tamed!
24
67
124
PBAT SpeechHighlights: 🔘 Nigeria is back. 🔘 Democracy best form of government 🔘 We can’t sit like toothless bulldogs in ECOWAS. #theforensicpolitics
9
44
120
The legal brief in a counter petition against LP (Peter Obi) holds 850hp on his political scrotum. Expect some back peddling in the coming weeks. #Tinubu4Prisonreform #theforensicpolitics
17
41
120
@AdedoyinOyewo1
MAO
10 months
Hate him or love him, Nyesom Wike is politically Talented #theforensicpolitics
16
69
115
@AdedoyinOyewo1
MAO
2 months
Message to disgruntled Nigerians!
28
44
123
Arewa endorsement: #theforensicpolitics
8
51
95
12
90
119
Analyzing the terrorist wing #theforensicpolitics
46
93
115
@AdedoyinOyewo1
MAO
2 months
EP2. The Intent is a regime change via violence. #accordingtoalake 🎥🔴 @segalink X space. #theforensicpolitics
30
73
118
@AdedoyinOyewo1
MAO
9 months
Military Zone 🪖 Keep off #theforensicpolitics
24
47
115
@AdedoyinOyewo1
MAO
4 months
His sense of humor is unmatched! As a traditional Yoruba boy he did his dobale for democracy day. All that’s left is Remi and aboniki 😂
11
23
114
Meet the Special Agents #theforensicpolitics
9
57
111
@officialABAT @MohamedBinZayed This is tremendous news! Big win for Nigeria and PBAT.
4
3
109
Let’s hear from our Ghana correspondent as all the Nigerian afrobeat artist have been cancelled at #RenewedHopeConcert #theforensicpolitics
6
39
107
Season 3 🔥🔥🔥 Official Trailer #theforensicpolitics
22
53
110
@AdedoyinOyewo1
MAO
6 months
The Central Bank of Nigeria, having resolved all outstanding foreign exchange obligations, has propelled the country's external reserves to $34.11 billion. @DanielWhalee called it!! #theforensicgovernance #Cardoso
10
47
113
Tweet media one
19
37
113
President Bola Ahmed Tinubu - “We will do it” #UNGA #theforensicpolitics
11
39
108
21
7
108
@AdedoyinOyewo1
MAO
2 months
Anarchy have loom o! #theforensicpolitics
Tweet media one
33
33
108
@AdedoyinOyewo1
MAO
9 months
Renowned for his literary prowess, a paragon of eloquence and creativity in the realm of literature. Isah’s masterful command of language, weaves intricate narratives that transport readers into captivating worlds. This prolific writer's contributions transcend mere
Tweet media one
23
58
97
Friendly reminder @officialABAT inauguration May 29th #theforensicpolitics
8
58
104
@AdedoyinOyewo1
MAO
11 months
Throughout his career, he’s displayed a rare blend of charisma, eloquence, and an unyielding commitment to the people he serves. His ability to inspire and unite is nothing short of extraordinary, reminding us that politics could be a force for good and progress. With an
Tweet media one
5
45
104
Happy Birthday President Elect 🇳🇬 @officialABAT #theforensicpolitics
2
34
103
12
51
96
Are you progressive?
17
31
99
Put some respect @fowo_play ☑️ #theforensicpolitics
Tweet media one
22
18
103
The Politics channel still up in flames🔥 🗣️ @ibekemed
19
40
99
PBAT and Macron Rendevouz!! Was that a french kiss with Madmoiselle? #theforensicpolitics
12
40
98
@AdedoyinOyewo1
MAO
9 months
#RotimiAkeredolu forever in our thoughts🖤
5
37
94
@AdedoyinOyewo1
MAO
11 months
@Egi_nupe_ Jandor, Banky, possibly Dino. Ya’ll got a long list!
27
2
95
@AdedoyinOyewo1
MAO
2 months
Conversation on the #Protest Listen: @ibekemed submission highlight #accordingtodougie 🎥🔴 @segalink X space #theforensicpolitics
12
46
96
@AdedoyinOyewo1
MAO
3 months
Happy Birthday Professor Wole Soyinka, Your contributions to literature, drama, and human rights have left an indelible mark on the world. As the first African laureate to be awarded the Nobel Prize in Literature, your work has inspired countless individuals and continues to
Tweet media one
3
35
97
@AdedoyinOyewo1
MAO
2 months
🔓Are you Ready? #theforensicpolitics
Tweet media one
13
32
94
@AdedoyinOyewo1
MAO
9 months
President Bola Ahmed Tinubu delivers on his campaign promise and the campaign promise of your favorites by issuing a directive that all federal, states entourage and MDA henceforth should be cut by 60%. Next is consumption to production!
16
32
89
Happy 64th Birthday to First Lady, Sen. Oluremi Tinubu. #theforensicpolitics
Tweet media one
8
21
95
@AdedoyinOyewo1
MAO
3 months
Happy birthday to the best governor @jidesanwoolu Watch this clip from #WTTLagos that captures his brilliance!
2
26
94
Tweet media one
14
23
91
@AdedoyinOyewo1
MAO
9 months
360 Analysis #theforensicgovernance 🔘Cash Transfer 🔘Traceability 🔘 Banking the Unbanked 🔘Legality 🔘Due process 🔘Beneficiaries 🔘Administrative procedure reform
Tweet media one
27
65
86
@AdedoyinOyewo1
MAO
3 months
#UPDATE : Ogun State Govt under Prince Dapo Abiodun @DapoAbiodunCON to commence massive road rehabilitation across 120 roads in 20 LGAs, prioritizing based on funding and economic impact. #theforensicpolitics
26
35
94
@hadizel Your sense of humor is unprecedented.
31
0
83
Happy birthday to the esteemed First Lady of our nation! @SenRemiTinubu Your grace, wisdom, and compassion inspire us all. May this special day bring you joy and may your dedication to our country continue to shine as a beacon of renewed hope and positivity for us all.🇳🇬
Tweet media one
3
27
90
367
38
76
Pick a reaction #theforensicpolitics
66
52
88
Happy birthday @aonanuga1956 🎂 Took a while to get an image of you actually smiling but God came through😀Have a blast!! #theforensicpolitics
Tweet media one
4
19
85
14
41
73
@AdedoyinOyewo1
MAO
6 months
Tweet media one
@YemieFash
Olúyẹmí Fásípè AICMC
6 months
Quote with your throwback picture, don't air me
Tweet media one
19
13
59
24
14
83
Congratulations @officialABAT and look forward to you saying I do 🤵🏿‍♂️👰🏿‍♀️ #theforensicpolitics
12
29
69
Scheduled space: The Undefeated #theforensicpolitics
10
38
68
@AdedoyinOyewo1
MAO
6 months
The Dangote Petroleum Refinery's sale of diesel at N1,225/litre has led to a drop in prices, decreasing from around N1,700 to approximately N1,350 per liter in certain areas. The refinery plans to supply petrol starting in May. Oil sellers have confirmed purchasing diesel from
5
38
83
@AdedoyinOyewo1
MAO
6 months
Happy Birthday @DanielWhalee Words can’t quantify your sacrifice to bringing governance closer to the people!! We celebrate you on this special day.
12
28
79
@AdedoyinOyewo1
MAO
10 months
4
30
80
The Wedding💍 & Vow to Nigeria Feb 18th SAT 8am #theforensicpolitics
41
35
66
@AdedoyinOyewo1
MAO
5 months
Now that the coastal way debacle has been laid to rest by David Umahi, let’s highlight a couple of its benefits! 1. Trade and Commerce: Coastal routes facilitate maritime trade, boosting economic activity through imports, exports, and tourism. 2. Transport Efficiency: Coastal
10
44
78
The Fascist by Wole Soyinka #theforensicpolitics
1
49
79
Happy Birthday @BashirAhmaad
Tweet media one
6
9
77
Keep up the good work @NELFUND and don’t be discouraged, your only crime is not being initiated by the man in black.
6
22
80
@AdedoyinOyewo1
MAO
7 months
I’m tying to figure out which was a harder knock out for today! @anthonyjoshua or @B_ELRUFAI Congratulations to both!!
14
22
76
@AdedoyinOyewo1
MAO
8 months
The super eagles 🦅 will be playing for country and president today. No doubt they’ll scale through to the finals!!🇳🇬
25
27
76
@AdedoyinOyewo1
MAO
5 months
Happy Birthday, @tokunbo_wahab , Lagos State has always produced outstanding leaders, and you, as the Honorable Commissioner of Environment, truly top that list. Wishing you a wonderful day and continued success. #theforensicpolitics
Tweet media one
9
27
78
@AdedoyinOyewo1
MAO
6 months
PDP Cacus/NEC meeting: In Attendance - PDP 30% APC 60% Amebo 10%
19
15
78